Un nouveau masque dur
MaisonMaison > Blog > Un nouveau masque dur

Un nouveau masque dur

Nov 15, 2023

Rapports scientifiques volume 12, Numéro d'article : 12180 (2022) Citer cet article

2229 accès

2 Citations

1 Altmétrique

Détails des métriques

Cette lettre résout un obstacle majeur qui entrave la fabrication basée sur la photolithographie de structures micro-mésoéchelle en silicium. La photolithographie conventionnelle est généralement effectuée sur des surfaces de tranches lisses et plates pour déposer une conception 2D et ensuite la graver pour créer des caractéristiques à un seul niveau. Il est cependant incapable de traiter des surfaces non planes ou des tranches déjà gravées et de créer plus d'un niveau dans la structure. Dans cette étude, nous avons décrit un nouveau flux de processus basé sur une salle blanche qui permet de créer facilement de telles structures 3D hiérarchiques à plusieurs niveaux dans un substrat. Ceci est réalisé en introduisant une couche de masque dur sacrificielle ultra-mince en dioxyde de silicium sur le substrat qui est d'abord modelé en 3D via plusieurs cycles de lithographie. Ce motif 3D est ensuite mis à l'échelle verticalement par un facteur de 200 à 300 et transféré sur le substrat en dessous via une seule étape de gravure profonde. La méthode proposée est également facilement caractérisable - en utilisant des caractéristiques de différentes topographies et dimensions, les taux de gravure et les sélectivités ont été quantifiés ; ces informations de caractérisation ont ensuite été utilisées lors de la fabrication de structures cibles spécifiques. En outre, cette étude compare de manière exhaustive la nouvelle technique de transfert de motifs aux méthodes déjà existantes de création de structures à plusieurs niveaux, telles que la lithographie en niveaux de gris et l'empilement de puces. Le processus proposé s'est avéré moins cher, plus rapide et plus facile à standardiser par rapport à d'autres méthodes, ce qui a rendu le processus global plus fiable et reproductible. Nous espérons que cela encouragera davantage de recherches sur les structures hybrides qui détiennent la clé d'améliorations spectaculaires des performances de plusieurs dispositifs à micro-mésoéchelle.

Les progrès des techniques de traitement micro-nano basées sur la lithographie ont révolutionné la technologie dans le monde entier pour sa capacité à produire en masse de manière rentable des structures allant d'une échelle de longueur inférieure à 10 nm jusqu'à l'échelle millimétrique. Certaines de ces structures comprennent des composants électroniques à l'échelle nanométrique comme les FET, les IGBT1, des caractéristiques submicroniques comme les guides d'ondes optiques2, les lentilles de Fresnel3, les dispositifs photoniques4 et les dispositifs micro-nanofluidiques5. Les caractéristiques à l'échelle micro (1–100 μm) et méso (0,1–1 mm) légèrement plus grandes sont encore plus utiles dans la technologie moderne et ont vu des myriades d'applications dans la microfluidique6, les technologies de refroidissement7,8, la recherche sur les batteries9, la sorption-désorption10, le dessalement11 et la catalyse12. Bien qu'omniprésente, polyvalente et indispensable en tant que technique de fabrication micro-nano, la lithographie conventionnelle en salle blanche souffre d'une limitation majeure. Ce type de traitement ne peut créer efficacement que des structures 2,5D ou à un seul niveau (Fig. 1a, b), mais est incapable de créer de manière fiable des structures hiérarchiques 3D hybrides à plusieurs niveaux (structures avec plus d'un niveau de hauteur ou de profondeur comme indiqué sur la Fig. 1c – e) de profondeurs supérieures à 1–5 μm. Par la voie conventionnelle LELE (Litho-Etch Litho-Etch), une conception/motif 2D (contrôle total disponible sur la conception des caractéristiques en 2D) est d'abord posé par lithographie sur une couche de masque sacrificiel [généralement, un polymère photosensible appelé photorésine (PR)] sur la plaquette. Ce masque est maintenant utilisé comme protection pour graver la partie exposée du dessin sur la plaquette. Grâce à un cycle de 'lithographie + gravure', l'ensemble du dessin peut être gravé à une seule profondeur spécifique, donnant ainsi naissance à une structure à un seul niveau. Le processus de salle blanche LELE conventionnel nécessiterait normalement plusieurs cycles de `` lithographie + gravure '' dos à dos pour obtenir les structures à plusieurs niveaux souhaitées (Fig. 1f – i). Le goulot d'étranglement est dû à un second cycle de lithographie insatisfaisant (Fig. 1i) sur des tranches qui ont déjà subi un cycle de « lithographie + gravure » et qui présentent donc des caractéristiques gravées (hauteur ≥ 5 μm). Cela constitue un obstacle majeur à la fabrication à une époque où les structures hybrides détiennent la clé d'améliorations spectaculaires des performances des appareils existants. (Des détails supplémentaires sur l'utilité des structures hybrides peuvent être trouvés dans la section "Impact".)

Deux types de structures, 2.5D et 3D. (a,b) Montre des structures à un seul niveau, où toutes les caractéristiques ont la même profondeur/hauteur. Ce sont également les structures que nous appellerons structures 2.5D dans le reste de cette lettre. ( c – e ) Contrairement aux structures 2.5D, nous montrons deux structures entièrement 3D différentes qui sont à plusieurs niveaux; différentes parties de la plaquette ont une profondeur/hauteur de gravure différente. (d) Est une version fabriquée de (c). (1) LELE conventionnel (f) Spin coat PR, l'uniformité de la couche PR est essentielle pour le succès des processus en aval (g) Exposition et développement complets pour créer un motif 2D sur le PR (h) Deep Si etch pour créer d'abord une structure à un seul niveau. (i) Le deuxième tour de revêtement par centrifugation PR échoue si la hauteur de la structure gravée est supérieure à l'épaisseur de la couche PR (4 à 10 μm). (2) Chip Stacking (j) Structure cible à 3 niveaux. (k, l) Structure à plusieurs niveaux d'abord divisée en plusieurs structures à un seul niveau qui doivent être réalisées sur des tranches séparées, puis collées ensemble. (m) Limites de l'empilement de jetons. (3) Lithographie en niveaux de gris (n, o) Après le revêtement PR, des combinaisons de lithographie à dose complète (énergie) et à dose partielle sont effectuées pour créer une structure 3D dans le PR. (p) La gravure transfère le motif 3D du PR au Si en dessous. ( q ) Limitations de la lithographie en niveaux de gris principalement associées à des doses de gris partielles.

Des structures 3D à plusieurs niveaux peuvent être réalisées facilement à partir de matériaux souples tels que le PDMS (polydiméthylsiloxane), les thermoplastiques utilisant des techniques de déformation (lithographie douce en deux étapes13, thermique séquentielle14 et UV15 Nano Imprint Lithography (NIL), Capillary Force Lithography16, Nano Transfer Printing (NTP)17), mais des méthodes fiables pour la fabrication de structures 3D à plusieurs niveaux dans un matériau rigide comme le silicium font encore défaut18. Récemment, la lithographie à deux photons a permis la fabrication de motifs entièrement 3D compliqués dans des photopolymères19,20, mais ces systèmes ont un volume d'impression extrêmement faible (Nanoscribe GT, un système multiphotonique de pointe utilisé dans les universités et l'industrie peut imprimer un volume maximum de 300 × 300 × 300 μm3) avec des temps d'écriture tout aussi longs de plus de 12 h par structure. Cette raison rend la lithographie à deux photons d'un coût prohibitif à utiliser et difficile à intégrer dans les scénarios de fabrication commerciale de masse20,21,22. Une autre technique appelée lithographie en niveaux de gris23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44 a gagné du terrain ces dernières années, bien que cette méthode soit souvent coûteuse, fastidieuse, difficile à caractériser22,45,46,47,48. Dans cette approche, plusieurs doses de gris qui ont une énergie inférieure à l'énergie complète de la dose à éclaircir sont utilisées pour illuminer la résine photosensible (PR). Le PR dans ces zones exposées à la dose grise subit une réaction photochimique partielle et, une fois développé, seule une partie de la réserve est emportée - le contrôle précis de l'énergie et du plan focal de la lumière d'exposition entraîne une structure 3D à plusieurs hauteurs dans la réserve et ensuite transféré sur le substrat en dessous (Fig. 1n – q). Cependant, il a été rapidement constaté que les doses d'exposition au gris étaient associées à plusieurs problèmes incontrôlables22,45,46,47,48,49. Morgan et al. attesté de cette difficulté en citant le manque de standardisation des étapes du processus de lithographie en niveaux de gris. Selon eux, cela est dû au contrôle extrêmement limité de plusieurs paramètres intrinsèquement associés à l'exposition à la dose grise47. Certains de ces défis rencontrés dans la lithographie en niveaux de gris sont la modélisation de masque compliquée et coûteuse22,48, la distorsion dimensionnelle dépendante de la dose qui s'aggrave à des tailles de caractéristiques inférieures à 10 μm49, le déplacement dépendant de la taille de la caractéristique de la courbe de réponse caractéristique des photorésists49, le profil et l'effilement des parois latérales à des doses grises, surface PR désordonnée après le développement. Tous ces problèmes rendent le profil de réserve cible extrêmement difficile à atteindre22. Ces problèmes doivent être parfaitement résolus par une caractérisation expérimentale approfondie et une correction d'erreur fastidieuse basée sur un modèle numérique pour le succès global du processus22. Morgan et al. poursuit en déclarant que la fabrication de caractéristiques grises contrôlées avec précision dépend si fortement des conditions de processus et fonctionne dans des tolérances de processus si strictes que le transfert de recettes ou de connaissances de processus d'un laboratoire à un autre est presque impossible. De petits changements dans l'équipement de traitement et l'environnement entraînent des changements drastiques dans le processus en niveaux de gris47.

Récemment, une ingénieuse technique de traitement double face a été développée par plusieurs chercheurs50,51,52,53 et en utilisant cette technique, ils ont pu créer des structures de collecteur 3D à 2 niveaux pour le refroidissement des points chauds électroniques à haute puissance (empreinte de 25 à 100 mm2). Plus tard, Hazra et al. a démontré avec succès l'évolutivité de ce flux de processus pour créer des micro-refroidisseurs 3D à collecteur à flux de chaleur extrêmement large (≥ 500 mm2)54. Cependant, cette méthode ne convient que pour la création de structures à 2 niveaux très spécifiques qui peuvent être réalisées via l'intersection de deux motifs gravés des deux côtés de la plaquette. De plus, le rendement des structures 3D réalisées via des techniques de micro-lithographie conventionnelles ou double face sur des tranches de Si rigides chute drastiquement à environ 50 % en raison de la manipulation manuelle de tranches fragiles qui ont déjà subi un cycle de gravure profonde du Si54,55. Ainsi, commercialement, la création de structures multi-niveaux plus hautes (≥ 10 μm) a traditionnellement été réalisée via des méthodes d'empilement de puces50,56. Dans cette approche, une conception entièrement 3D est divisée en plusieurs structures 2,5D différentes ; ces structures 2,5D sont fabriquées dans des tranches séparées à l'aide de la «lithographie + gravure» conventionnelle qui sont ensuite empilées à l'aide de technologies de fixation de matrice de soudure ou de liaison eutectique mince (Fig. 1j – m). Les épaisseurs de tranche utilisées pour chacune de ces couches séparées déterminent les hauteurs de pas réalisables grâce à ce processus et souvent, pour obtenir de petites hauteurs de pas, les tranches doivent être amincies à l'aide d'un outil de meulage. L'amincissement de la plaquette n'est pas possible en dessous de 30 à 50 μm, ce qui limite considérablement la hauteur de pas minimale ou la résolution verticale de ce processus. De plus, les tranches extrêmement minces sont sujettes au gauchissement, à l'écaillage et à la rupture. Les configurations finales d'empilement de puces liées sont de courte durée et peu fiables, les sites de liaison étant la principale source de défaillance. Ces problèmes se présentent plus fréquemment dans les dispositifs qui subissent des contraintes thermiques ou mécaniques cycliques massives23,24, en particulier dans les dispositifs de refroidissement microfluidiques à flux thermique élevé. De plus, les techniques d'empilement de puces ont leurs limites en termes de configurations de dispositifs qu'elles peuvent fabriquer, car les couches de tranche médianes de la pile ne peuvent pas avoir de structures autoportantes (par exemple, les structures de réseau d'ailettes à broches à plusieurs niveaux ne peuvent pas être réalisées à l'aide de cette technique). Ainsi, la communauté actuelle de la microfabrication a désespérément besoin d'un processus standardisé et facilement caractérisé pour créer des structures multi-niveaux micro-mésoéchelle à rapport d'aspect élevé (≥ 100 μm) simples, rentables, pouvant fonctionner entre des tolérances de processus raisonnables et donc finalement facilement traduisibles d'un laboratoire à un autre.

Dans cet article, nous avons décrit un nouveau processus de transfert de motif de dioxyde de silicium à silicium qui peut créer de manière fiable des structures à plusieurs niveaux à l'aide de techniques de photolithographie et résoudre simultanément plusieurs des défis pratiques qui se posent tout en utilisant des méthodes de pointe existantes telles que l'empilement de puces et la lithographie en niveaux de gris. Le processus de transfert de motif est réalisé grâce à une seule étape de gravure profonde du silicium qui se traduit par une amélioration du rendement de fabrication de plus de 40 %. De plus, la sélectivité de gravure Si:SiO2 est supérieure de plus d'un ordre de grandeur par rapport aux sélectivités de gravure Si:PR25,26,27,28,29,30,49, ce qui nous permet de créer des structures très hautes (jusqu'à 500 μm) à rapport d'aspect élevé (~ 10–15) qui seront extrêmement utiles dans les applications qui reposent sur des caractéristiques méso-échelle. Le processus décrit emploie une exposition à dose complète et contourne ainsi tous les défis et difficultés associés à l'exposition à une dose partielle de gris. La suppression de l'étape d'exposition grise élimine simultanément les paramètres "difficiles à contrôler" qui sont intrinsèquement associés aux étapes d'exposition partielle dans la lithographie grise47. Les seuls paramètres à caractériser sont associés à la gravure du dioxyde de silicium et du silicium, ce qui rend ce nouveau procédé facile à généraliser, et ne nécessite pas de tolérances de procédé extrêmement serrées. Cette lettre mentionne une méthode de caractérisation simple et détaille les données sur la gravure SiO2 et Si spécifiques aux outils et aux conditions d'étape utilisées. Couplé à une caractérisation et une standardisation faciles, le processus utilise également des outils et des processus en salle blanche très couramment utilisés pour créer une microstructure à plusieurs niveaux, ce qui facilite grandement le transfert de connaissances d'un laboratoire à un autre. Enfin, cette lettre montre la preuve de concept de cette méthode via la réalisation de deux cycles de "lithographie + gravure" et montre des images SEM de plusieurs microstructures à 2 et 3 niveaux réalisées. Cependant, les possibilités en termes de types de structure, de topologies, de configurations et d'échelle de longueur sont infinies. Enfin, cette lettre se termine en énumérant quelques applications passionnantes de ces nouvelles structures hybrides dont la fabrication est désormais rendue possible et qui pourraient ouvrir la voie aux prochaines générations de technologies de microfluidique et de refroidissement hautes performances.

Le nouveau procédé décrit dans cet article s'inspire des techniques de multi-lithographie LELE et de la lithographie en niveaux de gris. Il combine intelligemment les deux pour une fabrication fiable de structures 3D à plusieurs niveaux et résout simultanément plusieurs défis pratiques associés à la technique de lithographie en niveaux de gris basée sur les relations publiques. Dans la lithographie conventionnelle (Fig. 1f – h), la création de caractéristiques gravées suit généralement ces étapes : revêtement de photorésist (PR) sur la plaquette (Fig. 1f) ; exposer la conception 2D sur le PR avec une lumière de longueur d'onde et d'énergie appropriées qui provoque une réaction photochimique dans le PR et le rend soluble dans un solvant spécial appelé le révélateur (les révélateurs sont généralement des solutions très diluées de bases extrêmement corrosives comme le TMAH, l'hydroxyde de tétraméthylammonium). Après exposition, le révélateur est utilisé pour laver les parties du PR qui avaient été exposées à l'étape précédente, laissant ainsi un motif 2D de PR sur la surface de la plaquette (Fig. 1g). Une gravure au silicium Bosch à base d'ions réactifs profonds est ensuite effectuée pour graver les parties exposées de la plaquette jusqu'à une profondeur souhaitée et nous nous retrouvons avec une structure à un seul niveau avec toutes les caractéristiques ayant la même profondeur dans la plaquette de silicium (Fig. 1h). Pour obtenir une structure à plusieurs profondeurs en utilisant cette technique conventionnelle, un flux de processus appelé LELE (litho-etch-litho-etch) est utilisé. Dans ce processus, la séquence d'étapes « lithographie + gravure » ​​doit être répétée plusieurs fois avec une conception d'exposition différente et des temps de gravure différents à chaque étape. Le principal défi se pose lors de la deuxième étape de lithographie où le PR est tenté d'être tourné sur la plaquette avec des caractéristiques déjà gravées dedans. Le processus de revêtement par centrifugation fonctionne via une flaque de PR distribuée au centre d'une plaquette de silicium tournant à un régime élevé, ce qui la propage radialement vers l'extérieur pour créer un revêtement mince, uniforme et conforme sur la plaquette. Le processus de filature sur une tranche déjà gravée est satisfaisant (mince et uniforme) lorsque l'épaisseur du PR (4-10 μm) est beaucoup plus grande par rapport à la hauteur de gravure des motifs. Ainsi, dans certains cas de fabrication de circuits intégrés, où la hauteur de la caractéristique déjà gravée est ≤ 1 à 4 μm, le processus LELE fonctionne parfaitement. Cependant, dans plusieurs applications utiles de la microfluidique, du refroidissement liquide, de l'optique et de la fabrication de semi-conducteurs, ces profondeurs de gravure sont de l'échelle micro-méso et peuvent aller de 10 μm à 500–600 μm. La filature PR sur des hauteurs de pas plus grandes (plus de 5 à 10 μm) conduit à un revêtement insatisfaisant (Fig. 1i). Plusieurs problèmes tels que les stries (la couche PR est froissée après avoir heurté un élément ou un obstacle gravé), le doigté (le PR est piégé dans une cavité/un canal profond et progresse uniquement le long de ces canaux) et une couverture incomplète (le PR frappe le coin d'un élément gravé et ne couvre pas le reste de la plaquette) gâchent le processus de revêtement par centrifugation lors des deuxièmes cycles de lithographie. Cela provoque l'échec du processus d'exposition en aval, dont le succès repose exclusivement sur l'uniformité de la couche PR, entraînant ainsi l'échec du processus global.

Nous avons identifié deux problèmes majeurs dans les méthodes conventionnelles qui compliquent la fabrication fiable - problèmes de revêtement PR insatisfaisants sur les structures gravées avec une hauteur ≥ 5 μm dans le traitement LELE ; et les problèmes inévitables et incontrôlables associés à l'exposition partielle à la dose de gris dans la lithographie en niveaux de gris. Dans ce contexte, nous avons inventé un nouveau flux de processus utilisant des outils de salle blanche couramment utilisés qui atténue tous ces problèmes et nous permet de créer facilement des structures hiérarchiques à plusieurs niveaux. Inspirés par le principe de la lithographie en niveaux de gris consistant à modéliser en 3D le matériau du masque de gravure, nous avons d'abord introduit une couche sacrificielle ultra-mince de SiO2 entre le PR et la plaquette de silicium ; la couche de SiO2 agissant maintenant comme matériau de masquage pendant le processus de gravure profonde du Si au lieu de PR. L'idée est d'effectuer plusieurs cycles de lithographie LELE conventionnelle pour modeler cette couche de masque SiO2 nouvellement introduite, au lieu d'essayer de modeler directement le silicium en dessous. Après cela, par gravure profonde de Si, ce modèle multi-niveaux 3D dans le SiO2 est mis à l'échelle verticalement et transféré sur le silicium - le flux de processus global est représenté schématiquement sur la figure 2. Dans la première étape de ce flux de processus, la couche mince (1 à 3 μm) de SiO2 est d'abord déposée sur le processus de vapeur chimique (CVD) à 250 (Fig. 2b). Alternativement, pour rendre le processus plus convivial BEOL (back-end-of-line), un processus CVD amélioré par plasma haute densité (HDPECVD) peut être utilisé qui peut déposer du SiO2 de haute qualité mais à une température beaucoup plus basse de 90 à 120 ° C en utilisant plasma directionnel pour améliorer le processus de dépôt. Après la croissance / le dépôt de la couche de SiO2, plusieurs cycles de lithographie sont effectués sur la couche de SiO2 avec une conception et un temps de gravure de SiO2 différents dans chacun des cycles (Fig. 2c – h). Étant donné que la couche de SiO2 est ultra-mince, les hauteurs de pas de gravure maximales (≤ 3 μm) dans SiO2 sont toujours inférieures à l'épaisseur de la couche de PR (4 à 10 μm) qui s'étend sur elles pendant le processus de filage. Ces caractéristiques à faible rapport d'aspect dans le SiO2 n'interfèrent pas avec le processus de filature PR, donnant ainsi lieu à des couches PR parfaitement uniformes et conformes sur la couche de SiO2 au cours des multiples étapes de lithographie (Fig. 2f). Une fois que le profil 3D souhaité a été gravé dans la couche de SiO2 par plusieurs cycles de lithographie, la plaquette est placée dans un graveur de Si profond qui réalise des profils anisotropes en Si via un processus d'ions réactifs profonds (DRI) multiplexé dans le temps souvent également appelé processus de gravure Bosch54. Cette étape de gravure est un processus en une seule fois qui redimensionne verticalement le profil 3D dans le SiO2 par la sélectivité de gravure Si: SiO2 et le transfère au silicium en dessous (Fig. 2i). Étant donné que cette étape de gravure est un processus en un seul coup, elle élimine également la manipulation manuelle des tranches fragiles gravées en profondeur comme dans l'empilement de puces ou le processus LELE conventionnel, améliorant ainsi le rendement du processus de 50 à 90 %. De plus, ces structures sont désormais monolithiques ou constituées d'un seul substrat en Si massif, ce qui élimine les plusieurs couches de liaison qui seraient nécessaires dans l'approche d'empilement de puces, ce qui augmente la fiabilité et la robustesse du dispositif ; les défaillances induites par les cycles de contraintes thermiques et mécaniques dans les configurations à puces empilées sont efficacement évitées. Tous les effets susmentionnés combinés se traduiraient par un temps de traitement plus rapide, un meilleur rendement, un débit plus élevé dans les scénarios de fabrication industrielle de masse et, finalement, des appareils moins chers. Les deux principaux problèmes mentionnés au début de cette section sont également atténués par ce flux de processus : l'utilisation de SiO2 ultra-mince élimine les problèmes de revêtement par centrifugation PR sur les étapes gravées dans SiO2, et la réalisation d'une lithographie basée sur l'exposition à dose complète pour modeler la couche de SiO2 se débarrasse des problèmes difficiles à caractériser associés à la lithographie à dose grise. De plus, SiO2 en tant que masque dur de gravure offre une très haute sélectivité de gravure (200–300) par rapport au Si, qui est plus du double de la sélectivité maximale Si:PR de 80–100. Cela nous permet de créer facilement des structures à l'échelle méso de plus de 500 μm en utilisant du SiO2 extrêmement fin (≤ 3 μm).

Flux de processus pour la création de structures à plusieurs niveaux à l'aide d'une nouvelle approche. (a) Plaquette nue propre sans caractéristiques ; (b) Un matériau de masquage ultra-mince intermédiaire est déposé - dans notre cas, SiO2 est déposé par CVD ; (c) La filature du photorésist (PR) est uniforme, ce processus n'est pas entravé car il est plus épais que la couche de SiO2 sous-jacente, l'exposition de la conception 1 et le développement ; (d) En utilisant PR comme couche de masque, le SiO2 sous-jacent est gravé à une quantité précise, \({t}_{1}\); (e) Décapage PR ; (f) Le deuxième tour de lithographie est effectué - dans cette situation, l'épaisseur du PR est d'au moins 1,5 fois l'épaisseur maximale de la caractéristique SiO2 déjà sur la plaquette, ainsi le processus de revêtement par centrifugation est réussi, donnant une fine couche conforme sur tout le SiO2 en 3D. Cette fois, le design 2 a été gravé dans du SiO2 à une profondeur différente, \({t}_{2}\) ; (g) Après deux tours de litho, une structure à 2 niveaux est réalisée sur le SiO2 ; (h) Après deux autres cycles de litho, 2 niveaux supplémentaires peuvent être créés. En théorie, \(n\) passages de lithographie sont capables de faire au moins \(n\) niveaux dans la structure ; (i) La plaquette avec une couche de SiO2 structurée en 3D est maintenant gravée dans un graveur d'ions réactifs Si profond (RIE) pour mettre à l'échelle verticalement le motif 3D SiO2 par la sélectivité Si:SiO2 (qui est d'environ 200 à 300 pour notre cas) et transfert à la plaquette de silicium en dessous. Enfin, nous nous retrouvons avec un niveau \(n\), une structure de rapport d'aspect élevé, une structure profonde dans Si ; (j) Contrairement à la structure à plusieurs niveaux, il s'agit d'une structure à un seul niveau présentée à titre de comparaison.

Il est également important de noter que toutes les étapes utilisées pour constituer ce flux de processus comme la lithographie (spinning PR, exposant la conception de caractéristiques inférieures à 10 μm, développement), le dépôt ou la croissance de SiO2 sur une plaquette de Si, la gravure ionique réactive SiO2 (RIE) et la gravure DRI du silicium sont très couramment utilisées dans la communauté de la microfabrication en salle blanche. Cela permet un transfert facile des connaissances sur les processus d'un laboratoire à un autre, ce qui est presque impossible pour la technologie en niveaux de gris47. De plus, ce flux de processus utilise uniquement la lithographie à exposition complète, qui a été largement caractérisée et documentée pour différents types et épaisseurs de réserve positive et négative. L'élimination de l'exposition à la dose de gris résout certains des problèmes inévitables associés à la technologie des niveaux de gris, tels que le décalage de la courbe de réponse PR induite par la dose de gris, le profil de dose de gris, le post-développement de surface désordonné, la distorsion dimensionnelle dépendante de la dose de gris22,45,46,47,48,49 etc. La seule caractérisation requise est liée à la gravure de SiO2 et de Si, qui ont également été largement caractérisées par de nombreux chercheurs précédents. Bien que ces processus soient très courants et que leurs données de caractérisation soient largement disponibles dans la communauté de la microfabrication, nous avons détaillé certaines données de caractérisation plus loin dans la section spécifique aux outils et recettes que nous avons utilisés pour fournir un point de départ à quiconque cherche à fabriquer de telles structures. Des informations sur les outils et recettes spécifiques utilisés dans notre étude peuvent être trouvées dans le tableau 1 des informations supplémentaires (SI). Le tableau SI 3 contient également une comparaison tabulaire de cette nouvelle méthode avec les processus existants d'empilement de puces et de lithographie en niveaux de gris (masqué et sans masque).

Des tests préliminaires utilisant ce nouveau flux de processus (Fig. 2) ont démontré la capacité de créer des caractéristiques hiérarchiques 3D de dimensions nominales (largeur) ~ 5–10 μm avec des rapports d'aspect (rapport hauteur sur largeur) aussi grands que 10–15. La résolution peut être encore améliorée à une échelle inférieure à 500 nm en utilisant la lithographie par faisceau d'électrons au lieu de la photolithographie conventionnelle. Le flux de processus de création de structures à plusieurs niveaux a été testé 5 fois avec différentes grandeurs de hauteurs de pas (250 nm à 1,5 μm) pour établir la fiabilité et la répétabilité.

La résolution et la répétabilité du processus dépendent de notre capacité à caractériser précisément le taux de gravure du silicium, le taux de gravure du SiO2 et la sélectivité de gravure Si:SiO2. Deux masques de caractérisation ont été construits de telle sorte que lorsqu'ils sont alignés par lithographie, ils contiendront de petits patchs de conception de microcanaux droits se chevauchant et de réseaux de piliers carrés. Trois tranches de caractérisation différentes ont été gravées pour des quantités variables avec ces deux masques de lithographie sur trois jours différents. Ces deux masques ont été gravés pendant des durées variables à l'aide d'un plasma de 600 à 800 W de CHF3 et CH4 dans un rapport de 3: 1 dans un outil de gravure ionique réactive appelé Oxford RIE, pour générer des pas de hauteurs de pas variant entre 250 nm et 1,5 μm dans la couche de SiO2. La recette de gravure SiO2 a été choisie de telle sorte que la sélectivité SiO2: PR était ≥ 1. Cela garantit que la couche PR (4–10 μm) est toujours suffisamment épaisse pour graver complètement le SiO2 plus fin (3 μm) en dessous, éliminant ainsi un autre paramètre (sélectivité de gravure SiO2: PR) nécessitant une caractérisation précise. Dans ce scénario, seules les informations sur le taux de gravure de SiO2 deviennent importantes. Les résultats de la gravure SiO2 sont résumés à la Fig. 3. Les données brutes à partir desquelles la Fig. 3 a été construite peuvent être trouvées dans le tableau SI 2.

Pour établir la répétabilité et la standardisation de notre méthode, une caractérisation précise du taux de gravure d'oxyde est impérative. La plaquette de caractérisation composée de canaux droits et de réseaux de piliers carrés a été gravée pendant des durées variables (1 à 100 s) et la gravure par seconde en Å/s a été tracée en fonction du type et des dimensions de la caractéristique. Les données brutes utilisées pour tracer ces courbes se trouvent dans les informations supplémentaires. La gravure par seconde s'est avérée stable autour de 5 nm/s. Cependant, l'observation importante est le fait qu'aucune gravure dépendante du rapport d'aspect (ARDE) dans SiO2 n'est remarquée (la variation maximale de gravure par seconde dans différentes géométries s'est avérée être d'environ 1 nm/s) montrant une valeur de gravure cohérente par seconde pour différentes dimensions et conditions de charge. La gravure par seconde s'est avérée plus influencée par le temps de gravure total, en particulier lorsque le temps de gravure total est faible (≤ 5 s) - ceci est le résultat d'une distribution de plasma imprévisible et non uniforme dans la chambre de gravure lorsque le temps de gravure n'est que de 1 s. À des temps de gravure plus élevés (30 s, 60 s, 100 s), la gravure par seconde a montré moins de variation et était plus proche l'une de l'autre (45–50 Å/s), indiquant un bon contrôle du processus, une répétabilité et une fiabilité.

Après une caractérisation détaillée de la vitesse de gravure de l'oxyde à l'aide de notre recette spécifique, nous pouvons construire avec précision des structures 3D en oxyde de silicium. Les structures cibles de nos dispositifs de refroidissement à flux de chaleur extrême sont extrêmement hautes (~ 500 μm) nécessitant une couche de SiO2 de 3 à 4 μm comme masque. Comme mentionné précédemment, nous avons choisi une recette de gravure d'oxyde agressive avec une bonne sélectivité SiO2:PR ≥ 1. Ceci est nécessaire pour pouvoir graver les couches épaisses de SiO2 (jusqu'à 4-6 μm) en utilisant une couche PR relativement plus fine (4 μm, maintenant ainsi une résolution inférieure à 10 μm). Cependant, le choix d'une recette de gravure SiO2 agressive (avec une valeur de gravure élevée par seconde) conduit à une détérioration de la résolution verticale de nos structures cibles. Comme le montre la figure 3, qui trace la gravure par seconde (Å/s) en fonction du temps de gravure total et de la dimension de la caractéristique, la vitesse de gravure moyenne était bien contrôlée entre 45 et 54 Å/s pour une large gamme de structures cibles et pour toutes les durées de gravure supérieures à 1 s. A 1 s, la gravure est sévèrement dépourvue de plasma et la vitesse de gravure est beaucoup plus faible, ~ 30 Å/s. De plus, en 1 s, le plasma n'a pas assez de temps pour se répartir uniformément dans la chambre, ce qui conduit également à la gravure dépendante du rapport d'aspect (ARDE), où les dimensions des caractéristiques influencent plus fortement le taux de gravure (cela peut être vu en gris (1 s etch) tracé de ligne sur la Fig. 3). Ainsi, la résolution verticale des structures 3D utilisant notre recette de gravure est déterminée par un minimum de 2 s de gravure et est limitée autour de 10 nm dans la couche de SiO2. Cela se traduit par environ 2 à 3 μm lorsque l'étape est mise à l'échelle et transférée sur la plaquette de silicium via DRIE. La recette de gravure peut être ajustée (les débits des gaz respectifs peuvent être réduits, les rapports CHF3 et CH4 peuvent être diminués) pour la rendre moins agressive, et ainsi réduire la valeur de gravure par seconde, ce qui conduira à un meilleur contrôle de la gravure et à une meilleure résolution (inférieure à 10 nm) dans la structure 3D SiO2, bien qu'au prix d'une diminution de la sélectivité SiO2:PR. Après la caractérisation de l'étape de gravure à l'oxyde, une recette de gravure profonde du silicium a été utilisée dans le Plasma-Therm Deep Silicon Etcher (PTDSE) pour le transfert de motif. Cette recette a également été caractérisée à l'aide d'une plaquette de test avec des canaux droits de largeurs 100-200 μm. La sélectivité moyenne de gravure Si:SiO2 sur une profondeur totale de gravure de 200 μm s'est avérée être d'environ 270–290. Cette recette de gravure a été largement développée par un travail antérieur de Hazra et al. qui ont rapporté une sélectivité de gravure de 220 à 240 et une vitesse de gravure de 8 μm/min54. La recette DSE utilisée par Hazra et al. était également extrêmement agressif afin de s'adapter à leur hauteur de gravure totale extrême de 1000 μm, bien que cette recette agressive conduise à une sélectivité Si:SiO2 réduite. Dans notre étude actuelle, la recette a été légèrement modifiée (l'étape de gravure du silicium, le temps « etchA » a été réduit à 3,1 s à partir de 3,354) pour augmenter la sélectivité et atteindre un profil de gravure plus droit et plus anisotrope. La progression de la gravure de nos structures de caractérisation (réseaux de canaux droits placés perpendiculairement de différentes largeurs et espacements entre 100 et 400 μm) a été étudiée. Il a été constaté que 172 μm de silicium étaient gravés pour 0, 61 μm d'oxyde, ce qui rend la sélectivité moyenne de gravure Si: SiO2 ~ 282. Certaines des structures finales à plusieurs niveaux obtenues grâce à ce flux de processus sont illustrées à la Fig. 4.

Démonstration de structures 3D à l'aide de notre méthode de fabrication. (a) Structures d'ailettes à broches à plusieurs niveaux (vue isométrique), ces types de structures ne peuvent pas être réalisés via des techniques conventionnelles d'empilement de puces ou de gravure double face ; (b) (vue latérale) réseau d'ailettes à broches à plusieurs niveaux ; (c) ailettes et trous d'épingle ; (d) des microcanaux droits décalés de la surface de la plaquette (la possibilité de rendre la microstructure légèrement décalée de la surface a un immense potentiel pour faciliter le collage, l'intégration et le conditionnement de différents composants, en particulier pour les applications de refroidissement par flux de chaleur extrême) ; (e) structures d'ailettes dentelées de différents rapports d'aspect et espacements ; ( f ) image agrandie d'un échantillon de trou d'épingle à ailettes; (g) (vue de côté) Structure d'ailettes dentelée montrant 4 niveaux distincts ; (h) Vue isométrique d'une nageoire dentelée à 2 niveaux ; (i) Modèles de masques superposés utilisés pour fabriquer des ailettes dentelées à 3 niveaux ; (j) canaux à 3 niveaux avec trous d'épingle réalisés en superposant 2 modèles de masque. Ce type de structures de type broche-ailette ou trou d'épingle plus petites réparties sur une structure méso sous-jacente plus grande est un moyen simple et viable d'améliorer les performances thermiques de la zone de transfert de chaleur active dans les refroidisseurs ; (k) Des ailettes de broche dépassant de la base du canal plus hautes que la hauteur de la paroi latérale du canal (certaines ailettes se sont cassées pendant le découpage en dés); (l) conception de "chaise" à 3 niveaux réalisée en superposant des motifs de masque à motif carré sur le côté des canaux ; (m) Le concept initial d'un réseau d'ailettes à broches à motifs sur des canaux à deux niveaux suggère également notre capacité à créer des structures améliorées de surface bien ordonnées.

Enfin, il convient de mentionner que le concept de fabrication proposé, qui consiste à modeler de manière multi-lithographique une couche de masquage mince à faible rapport d'aspect, puis à transférer ce motif sur un substrat sous-jacent par gravure en un seul coup, peut également être étendu à d'autres combinaisons de matériaux de masque et de substrat. Au lieu du dioxyde de silicium CVD, de fines couches métalliques (Au, Pt, Cr, W, Al) ou un autre matériau d'oxyde (alumine) ou de nitrure (SiNx) peuvent également être utilisées comme couche de masque. Une sélectivité de gravure supérieure de 105 a été observée pendant le DRIE du silicium avec une couche de masque Al31 - ainsi, la combinaison de cela avec notre méthode permettra la création de structures à plusieurs niveaux de rapport d'aspect extrême (≥ 35). Ces nouveaux matériaux de masquage peuvent également être déposés ou développés sur notre plaquette par d'autres techniques telles que l'évaporation, la pulvérisation, le dépôt de couche atomique (ALD) ou la galvanoplastie, rendant ainsi le concept applicable dans un large éventail de scénarios de fabrication. Pour différents ensembles de masque et de matériau de substrat, le processus de caractérisation reste relativement inchangé, avec une seule exécution requise à l'aide d'un masque de caractérisation pour quantifier les taux de gravure et les sélectivités spécifiques aux outils et aux conditions de processus utilisés - ces paramètres doivent ensuite être utilisés pour concevoir le flux de processus pour obtenir nos structures multiniveaux cibles finales.

Différents types d'éléments à plusieurs niveaux réalisés à l'aide de cette méthode, avec des largeurs et des hauteurs d'éléments et des topographies variables, sont présentés à la Fig. 4. Toutes les structures de la Fig. 4 sont réalisées via deux cycles de lithographie en superposant deux masques de lithographie. En théorie, plusieurs cycles de lithographie peuvent être effectués sur la plaquette pour créer des structures de niveau \(n\).

Habituellement, le nombre d'étapes de 'lithographie + gravure SiO2' est égal au nombre de niveaux requis dans la structure à plusieurs niveaux (observer Fig. 2a – i), bien qu'il ait rapidement été réalisé que d'autres simplifications pourraient être facilement réalisées pour réduire le nombre d'étapes de traitement requises pour ces structures. Par exemple, la hauteur finale de la marche dans la couche de SiO2 pourrait être entièrement remplacée par un photopolymère cuit, réduisant ainsi un tour de « gravure litho + SiO2 ». Bien que cela nécessiterait de concevoir le flux de fabrication tout en tenant compte des différentes vitesses de gravure et sélectivités de PR, SiO2 et Si au cours du processus. En plus de ces simplifications, les conceptions de masques elles-mêmes peuvent être intelligemment combinées et superposées entre différents cycles de «litho + gravure SiO2», ce qui donne lieu à plus de niveaux en utilisant un nombre moindre de cycles de «litho + gravure». Un exemple a été démontré sur la Fig. 5, où deux cycles de «litho + gravure» impliquant 2 masques pourraient générer une structure à 3 niveaux. D'autres structures de ce type sont visibles sur les figures 4g, i, j, l, m, qui sont toutes réalisées en superposant 2 masques (les conceptions exactes des masques sont laissées à titre d'exercices pour le lecteur).

Chevauchement des conceptions de masques pour créer des structures compliquées. (a) La première conception de masque (comme indiqué en (d)) est exposée et le SiO2 est gravé par \({t}_{1}\) quantité ; (b) La deuxième conception chevauche partiellement l'étape réalisée à l'étape (a) et (c) La gravure SiO2 est effectuée pour obtenir une fonction 3D à 3 niveaux sur le SiO2. Une contrepartie 2D de cette structure à 3 niveaux est montrée en (e—iv) (d) Les deux masques pour deux tours de "gravure litho + SiO2" sont montrés, l'image de droite montre comment les masques se chevauchent. (e) Il a été vérifié que des pas allant jusqu'à 1 μm dans SiO2 n'affectent pas le processus d'exposition. Dans le cas où la hauteur de l'étape est ≥ 1,5 μm, il pourrait y avoir des différences de qualité d'exposition entre les deux niveaux de SiO2, 1 et 2. Dans cette situation, les étapes inférieures pourraient être sous-exposées, le PR étant laissé pour compte - une étape d'épluchage en aval plus longue (≥ 2 min) résout le problème en supprimant tout ce PR résiduel. ( f ) Après DRIE, la structure est mise à l'échelle verticalement et transférée au Si sous-jacent, et les nouveaux réseaux de combinaisons broches-ailettes-trous à 3 niveaux sont affichés; (g) vue agrandie de la structure 3D ; (h) vue en coupe latérale. Les chiffres représentent les différents niveaux.

La figure 5 montre une structure d'ailettes à broches à plusieurs hauteurs avec une caractéristique de pilier et de poche gravée ensemble. Il aborde également brièvement un problème qui pourrait survenir pendant la phase d'exposition de la lithographie lors de la fabrication de structures extrêmement hautes. La précision dimensionnelle et la qualité d'exposition par l'aligneur sans masque MLA Heidelberg (outil d'exposition) dépendent de deux paramètres principaux : l'énergie lumineuse d'exposition (dose) et l'emplacement de la mise au point (défocalisation) par rapport à la surface supérieure PR, bien que l'énergie d'exposition soit le principal déterminant. Il a été observé précédemment qu'un changement de ± 1 μm de la valeur de défocalisation par rapport au plan focal optimal n'affecte pas l'étape d'exposition de manière significative, ce qui suggère que si les caractéristiques 3D et les hauteurs d'étape dans le SiO2 sont suffisamment faibles (inférieures au micron), la qualité d'exposition aux deux étapes est relativement bonne. Des conceptions superposées ont été testées pour des hauteurs de pas de 0, 5 à 1 μm et les expositions se sont avérées satisfaisantes aux deux niveaux (Fig. 5a – c). Lorsque la photolithographie est tentée sur des hauteurs de pas de SiO2 distantes de plus de 1,5 μm, les qualités d'exposition sur les deux niveaux différents de SiO2 peuvent être légèrement différentes (comme le montre le schéma de la Fig. 5d – e, l'un des niveaux peut être sur ou sous-exposé) et cela doit être pris en considération lors de l'étape d'exposition. Une solution simple et rapide consiste à choisir le plan focal d'exposition de la lumière de sorte que la lithographie à exposition complète se produise au niveau supérieur ou en haut de la marche. Cela signifierait simultanément que le niveau inférieur (bas de la marche) est sous-exposé et que des PR résiduels pourraient être laissés pour compte. Cela peut être pris en charge en augmentant la durée de l'étape d'écumage en aval à des durées plus longues (≥ quelques minutes). Le temps d'élimination du plasma O2 à très faible puissance (50 W) éliminerait tout le PR résiduel de la surface inférieure de l'étape SiO2 et résoudrait ce problème. Bien que ces cas puissent bénéficier d'une couche de PR initiale légèrement plus épaisse (≥ 5 μm) pour s'adapter au PR supplémentaire gravé pendant l'étape d'élimination, cela garantira qu'il reste suffisamment de PR pour graver complètement la couche de SiO2 sous-jacente même après l'étape d'élimination plus longue.

La nouvelle approche décrite dans cette lettre nous permet de créer avec précision des structures hybrides à plusieurs niveaux grâce à un flux de processus facile à caractériser et standardisable. Certains de ces types de structures sont illustrés dans les Fig. 4 et 5, mais les possibilités sont infinies. Étant donné que la plupart de ces structures sont hautes (≥ 100 μm, souvent aussi hautes que 500 μm) avec des rapports d'aspect élevés (5–10) à extrêmes (15–25), nous prévoyons que ces types de structures sont les mieux adaptés aux applications de microfluidique et de refroidissement liquide à l'échelle micro à méso. La capacité de créer des structures 3D, multifonctionnelles et hiérarchiques est particulièrement importante pour l'environnement de recherche universitaire et industriel en ce moment, car des myriades d'applications à l'échelle micro-méso peuvent bénéficier de performances en remplaçant simplement les structures de dispositifs conventionnelles à un seul niveau par des fonctionnalités hybrides multifonctionnelles et multi-niveaux. Certaines de ces applications sont répertoriées dans la section suivante.

Les dispositifs microfluidiques de type flux ont des régions actives avec une gamme variée de fonctionnalités, certains exemples étant le mélange, la séparation des particules, le tri, la séparation et l'analyse5,32,33,34,35,36. Parallèlement à la région active, les dispositifs se composent également de canaux d'écoulement, d'entrées et de sorties qui sont généralement de différentes tailles et à différents niveaux dans l'appareil - les canaux d'écoulement sont plus larges, les entrées et les sorties sont gravées pour permettre des connexions d'écoulement dans et hors de l'appareil. L'une des approches les plus courantes pour la fabrication à grand volume de tels dispositifs est la lithographie par nanoimpression thermique ou UV (NIL)14,15. Cela utilise un maître ou un moule rigide (souvent en Si) qui est utilisé pour créer l'inverse du miroir à partir de plusieurs polymères mous comme le SU-8, le PDMS, les polyuréthanes (PU), les polycarbonates (PC), le PMMA, etc. Actuellement, aucune méthode n'existe pour la création de moules rigides à plusieurs niveaux18 - notre méthode sera extrêmement utile dans ce contexte. De plus, ce processus permettra une fabrication facile de la microstructure de la zone active et des canaux d'écoulement avec un contrôle indépendant des largeurs et des hauteurs des caractéristiques, ce qui ouvrira la voie à la multi-physique sur le même appareil ou puce57,58. Des voies d'écoulement compliquées et des structures coiffées internes comme celles démontrées par Duong et al.59 par impression 3D peuvent désormais être réalisées facilement en liant deux puces de silicium ou leurs polymères coulés NIL correspondants. La microfluidique numérique ou basée sur les gouttelettes pourrait également bénéficier énormément de ces structures à plusieurs niveaux57. Des broches et des trous à plusieurs niveaux soigneusement conçus (comme ceux illustrés à la Fig. 4c, f) combinés à des canaux à plusieurs niveaux pourraient être utilisés pour créer, piéger et transporter efficacement les gouttelettes. Récemment, les structures hybrides ont également suscité beaucoup d'attention de la part de la communauté optofluidique - Parks et al. ont démontré l'intégration d'une couche de traitement des fluides à base de PDMS avec un capteur optique en silicium pour la détection de particules uniques, mais ont également montré sa fonctionnalité à d'autres fins telles que le marquage de l'ADN, la détection de molécules uniques, le mélange, la distribution et le filtrage de particules60. Un autre avantage de la fonctionnalité à plusieurs niveaux est la possibilité de créer des structures de surface qui présenteront également plusieurs possibilités dans la conception de conceptions de surface bio-inspirées avec des fonctionnalités ciblées13,39, par exemple, des feuilles de lotus superhydrophobes et autonettoyantes, des textures antisalissure et de peau de requin et de coquille de mollusque réduisant la traînée, des œil de papillon antireflet, des structures d'ailes de papillon photoniques et des micro-bosses "récoltant l'eau" comme la peau de scarabée Namib. La possibilité de combiner plusieurs fonctionnalités différentes sur la même puce nous poussera à créer des dispositifs de laboratoire sur puce (LOC)18 plus polyvalents qui auront un impact massif sur la bio-microfluidique5,58,60,61,62, permettant des tests de réactifs d'échantillons de petit volume basés sur des gouttelettes, des analyses biologiques et chimiques, des diagnostics au point de service, des manipulations de cellules et d'ADN5,61,62 et des tests, la séparation35, le tri34 et l'analyse36. Ces types de matériaux à plusieurs niveaux auront également une utilisation variée dans des situations nécessitant des améliorations de surface et d'absorption, dont certaines sont l'absorption d'eau, le dessalement, la capture du carbone, la technologie des batteries, l'amélioration de l'adsorption, la catalyse, la tension superficielle ou le transport par force capillaire6,7,8,9,10,11,12 etc.

De plus, les structures hybrides à plusieurs niveaux ont probablement l'impact le plus significatif sur l'amélioration des performances des dispositifs dans le domaine des solutions de refroidissement liquide embarquées. L'hybridation du microcanal côté plaque froide (en introduisant une micromèche ou des caractéristiques de surface au bas d'un microcanal droit) conduit à une performance thermique accrue dans les scénarios de refroidissement par microcanal à alimentation forcée8. Zhu et al. ont rapporté des améliorations du coefficient de transfert de chaleur de 17 % à plus de 117 % pour les microcanaux microstructurés par rapport aux microcanaux lisses, pour des micropiliers de 25 et 75 µm de hauteur, respectivement, en utilisant du méthanol comme fluide de travail sans augmentation significative de la chute de pression56. Les dissipateurs de chaleur passifs tels que les caloducs et les chambres à vapeur avec des mèches hybrides biporeuses au lieu d'une mèche monoporeuse conventionnelle ont montré une amélioration significative de leurs capacités de propagation de la chaleur40. Daï et al. ont démontré qu'une mèche hybride complexe, lorsqu'elle est utilisée dans un caloduc, conduit à une augmentation massive de 30 fois de la charge thermique maximale étalable par rapport au cuivre solide41. Zhou et al. ont validé les performances supérieures des mèches hybrides à deux niveaux dans les chambres à vapeur en signalant une diminution de 28 % et 17 % respectivement de la résistance thermique de l'appareil par rapport à une mèche monoporeuse et biporeuse commerciale de pointe TGP (Thermal Ground Plane)42. De plus, notre capacité à créer de manière fiable des structures hiérarchiques à plusieurs niveaux nous permettra d'augmenter de manière agressive le dispositif de refroidissement actif basé sur la convection forcée en utilisant une deuxième couche de collecteur 3D pour une distribution efficace des fluides. La mise à l'échelle des refroidisseurs hautes performances est un objectif extrêmement important poursuivi dans le domaine du refroidissement intégré ; cela nous permettra de regrouper étroitement les composants électroniques de puissance à haute densité énergétique et de poursuivre la tendance à l'amélioration de la vitesse électronique et de la densité d'énergie8,43,52,54. Pan et al. ont effectué des simulations numériques dans ANSYS Fluent pour comparer la conception des refroidisseurs à collecteur (MMC) avec les refroidisseurs 2D traditionnels (TMC) et ont montré qu'aux mêmes débits, les MMC peuvent atteindre des niveaux de performances thermiques similaires à ceux des TMC, mais permettent une réduction massive de 4 × à 6 × de la pression totale de l'appareil et donc une amélioration de 4 × à 6 × du coefficient de performance (COP)43. En plus des refroidisseurs actifs, ces mèches hybrides à plusieurs hauteurs permettront également une mise à l'échelle des technologies de dissipation de chaleur. Ceci est possible car les caractéristiques à plusieurs profondeurs, lorsqu'elles sont intelligemment combinées dans la mèche de l'évaporateur, peuvent résoudre efficacement les limitations de transport de masse imposées par les mèches d'évaporateur minces dans les dissipateurs de chaleur à changement de phase liquide-vapeur8. Dans les conceptions de chambres à vapeur ultra-minces, les piliers courts pourraient être placés sur les points chauds pour contenir un film liquide très mince, ce qui entraînerait une résistance thermique plus faible et des performances thermiques supérieures, tandis que les grands piliers agiraient comme des voies de réapprovisionnement en liquide fournissant un débit massique suffisant. du condenseur vers l'évaporateur sur de grandes surfaces d'appareils. En plus des utilisations mentionnées ci-dessus pour les structures à plusieurs niveaux, les surfaces micro-comportées 3D de transfert de chaleur active elles-mêmes peuvent être améliorées en surface (la figure 4m montre des améliorations de surface au-dessus de canaux structurés à 2 niveaux pour créer une structure globale à 3 niveaux) en utilisant cette nouvelle méthode. Ces améliorations de surface seront bien ordonnées et leurs dimensions contrôlées avec précision, elles peuvent ainsi remplacer les méthodes conventionnelles de création d'éléments de rugosité de surface stochastiques (fils44, tubes38, aiguilles63, brocoli64, polype65) qui sont plus difficiles à contrôler et à répéter. Ces améliorations de surface conduisent à des améliorations massives des métriques de l'appareil en améliorant le transport basé sur la mèche capillaire du condenseur vers l'évaporateur. Cela a été démontré en créant des mèches hybrides utilisant la rugosité induite par laser UV64,65, la synthèse hydrothermale de nanofils de ZnO sur une microstructure de silicium56, puis en effectuant des tests de vitesse capillaire de montée pour montrer que leur taux de mèche est beaucoup plus rapide que leurs homologues lisses non hybrides sans améliorations de surface. Les améliorations de surface combinées à des structures à plusieurs niveaux augmentent en outre la surface globale disponible pour le transfert de chaleur dans les scénarios de convection forcée et d'évaporation en couche mince66, et entraînent une augmentation des sites de nucléation de bulles dans les régimes d'ébullition en piscine et en écoulement7,8,44.

Certaines des applications mentionnées ci-dessus contribuent à motiver la supériorité des structures hybrides. Plusieurs ordres d'amélioration seront atteints dans de nombreuses applications lorsque les mèches monoporeuses ou à un niveau conventionnelles seront remplacées par des mèches hybrides à plusieurs niveaux. Nous espérons que cette recette standardisée pour la fabrication de telles structures à plusieurs niveaux encouragera davantage de recherches et, éventuellement, l'adoption de telles structures dans des dispositifs commerciaux et des scénarios réels.

Dans cette lettre, nous avons détaillé un nouveau procédé de transfert de motif d'oxyde de silicium (SiO2) à Si qui utilise la lithographie multiple pour d'abord modeler une fine couche de SiO2 à faible rapport d'aspect qui est ensuite transférée sur le substrat Si en dessous via une technique de gravure profonde en un seul coup. La sélectivité de gravure extrêmement élevée entre la gravure Si: SiO2 de 200 à 300 nous permet de créer des structures à plusieurs niveaux de hauteurs extrêmes de ≥ 500 μm et de rapport d'aspect extrême (≥ 10 à 15) dans le silicium avec une couche d'oxyde relativement mince (≤ 3) sur le dessus. Avec la recette de gravure d'oxyde actuelle sélectionnée, nous obtenons une résolution stable de 90 à 100 Å dans SiO2 pendant 2 s de gravure, ce qui donne une résolution de gravure verticale Si de 2 à 3 μm dans Si. Avec plus d'ajustements à la recette de gravure, la gravure d'oxyde par seconde peut être réduite et la résolution encore affinée. Ce processus offre plusieurs avantages par rapport aux approches conventionnelles d'empilement de puces et de lithographie en niveaux de gris, qui ont été résumées en détail dans le tableau d'informations supplémentaires 3. Le nouveau processus a été utilisé pour créer des myriades de structures à plusieurs niveaux, comme illustré à la Fig. De telles structures à plusieurs niveaux à l'échelle micro et méso ont des applications de grande envergure dans les domaines de la microfluidique, des technologies de refroidissement, de la biologie, de la filtration et de l'énergie, comme mentionné brièvement dans les sections Introduction et Impact. En outre, notre nouveau procédé résout plusieurs défis pratiques de caractérisation et de normalisation qui entravent l'utilisation de la lithographie en niveaux de gris et du procédé LELE conventionnel, pour créer des structures hiérarchiques à plusieurs niveaux et est donc sans doute plus adapté à la fabrication commerciale de masse, aux situations à haut débit. La fabrication difficile et non standard avec des tolérances de processus serrées est la principale raison pour laquelle les structures 3D à plusieurs niveaux ne sont pas régulièrement observées dans les appareils commerciaux. Disposer d'une recette standard facilement transposable d'un laboratoire à un autre ouvrira un éventail de possibilités dans la recherche et le développement de telles structures hybrides pour l'amélioration des fonctionnalités et l'augmentation de leurs performances par de nombreux plis.

Toutes les données générées ou analysées au cours de cette étude sont incluses dans cet article publié et ses fichiers d'informations supplémentaires.

Chen, Y. Nanofabrication par lithographie par faisceau d'électrons et ses applications : une revue. Microélectron. Ing. 135, 57–72 (2015).

Article CAS Google Scholar

Bojko, RJ, Li, J., Baehr-Jones, T. & Hochberg, M. Stratégies d'écriture par lithographie par faisceau d'électrons pour les guides d'ondes optiques en silicium à faible perte et à confinement élevé. J.Vac. Sci. Technol. B 29, 06F309 (2011).

Article CAS Google Scholar

Fricke-Begemann, T. & Ihlemann, J. Éléments micro-optiques hybrides par fabrication au laser de lentilles de Fresnel sur la face d'extrémité des lentilles à gradient d'indice. Opter. Express 26(18), 23751–23759 (2018).

Article ADS CAS PubMed Google Scholar

Fedeli, JM et al. Développement de dispositifs photoniques sur silicium utilisant des outils microélectroniques pour l'intégration sur une plaquette CMOS. Adv. Opter. Technol. 2008, 412518 (2008).

Article Google Scholar

Wang, C., Nam, SW & Cotte, J. Intégration à l'échelle de la plaquette de puces nanofluidiques sacrificielles pour détecter et manipuler des molécules d'ADN uniques. Nat. Commun. 8, 14243 (2017).

Article ADS CAS PubMed PubMed Central Google Scholar

Gale, BK et al. Un examen des méthodes actuelles de fabrication de dispositifs microfluidiques et des perspectives de commercialisation futures. Inventions. 3, 60 (2018).

Article Google Scholar

Liang, G. & Mudawar, I. Examen de l'amélioration de l'ébullition du caca par modification de surface. Int. J. Chaleur Masse Transf. 128, 892–933 (2019).

Article Google Scholar

Liang, G. & Mudawar, I. Examen de l'amélioration de l'ébullition de l'écoulement du canal par la modification de la surface et des schémas de suppression de l'instabilité. Int. J. Chaleur Masse Transf. 146, 118864 (2020).

Article Google Scholar

Lixiang, L., Weng, Q., Xueyi, S., Zhang, L., Schmidt, OG Advances on Microsized On-Chip Lithium-Ion Batteries (2017).

Chen, Y. et al. Microfabrication évolutive d'échafaudages de graphène interconnectés poreux tridimensionnels avec des sphères de carbone pour des micro-supercondensateurs haute performance entièrement à base de carbone. J. Materiomics 5, 303–312 (2019).

Article Google Scholar

Zhang, Y., Xiong, T., Nandakumar, DK & Tan, SC Architecture de structure pour le dessalement interfacial solaire rejetant le sel afin d'obtenir une évaporation haute performance avec génération d'énergie in situ. Adv. Sci. Nouvelles 7, 1903478 (2020).

Article CAS Google Scholar

Navin, CV, Krishna, KS, Theegala, CS & Kumar, CSSR Dispositifs de laboratoire sur puce pour la synthèse de nanoparticules d'or et leur rôle en tant que support de catalyseur pour la catalyse à flux continu. Nanotechnologie. Rév. 3(1), 39–63 (2013).

Article CAS Google Scholar

Greiner, C., Arzt, E. & Campo, A. Adhésifs hiérarchiques de type gecko. Adv. Mater. 21(4), 479–482 (2009).

Article CAS Google Scholar

Hirai, Y., Yoshida, S. & Takagi, N. Analyse des défauts dans la lithographie par nanoimpression thermique. J.Vac. Sci. Technol. B 21(6), 2765–2770 (2003).

Article CAS Google Scholar

Kwak, R., Jeong, HE et Suh, KY Fabrication de structures de pont monolithiques par lithographie à force capillaire assistée par le vide. Petit 5(7), 790–794 (2009).

Article CAS PubMed Google Scholar

Suh, KY & Lee, HH Lithographie par force capillaire : structuration sur grande surface, auto-organisation et démouillage anisotrope. Adv. Fonct. Mater. 12(6–7), 405–413 (2002).

3.0.CO;2-1" data-track-action="article reference" href="https://doi.org/10.1002%2F1616-3028%2820020618%2912%3A6%2F7%3C405%3A%3AAID-ADFM405%3E3.0.CO%3B2-1" aria-label="Article reference 16" data-doi="10.1002/1616-3028(20020618)12:6/73.0.CO;2-1">Article CAS Google Scholar

Carlson, A., Bowen, AM, Huang, Y., Nuzzo, RG et Rogers, JA Techniques d'impression par transfert pour l'assemblage de matériaux et la fabrication de micro/nanappareils. Adv. Mater. 24(39), 5284–5318 (2012).

Article CAS PubMed Google Scholar

Kim, JU, Lee, S. & Kim, T. Progrès récents dans la lithographie non conventionnelle pour les structures hiérarchiques 3D difficiles et leurs applications. J. Nanomater. 2016, 7602395 (2016).

Google Scholar

Meza, LR et al. Métamatériaux architecturés hiérarchiques 3D résilients. Proc. Natl. Acad. Sci. PNAS. 112(37), 11502–11507 (2015).

Article ADS CAS PubMed Google Scholar

Piazza, A., Wu, Q. Structures métalliques électroplaquées, poreuses, 3D à l'aide de modèles sacrificiels de lithographie à deux photons (Nanoscribe). Rapport de recherche, ENGR241, SNF, Université de Stanford (2020). https://snfexfab.stanford.edu/sites/g/files/sbiybj8726/f/sections/diplayfiles/wq_finalreport_qw_ap.pdf.

Houbertz, R., Declerck, P., Passinger, S., Ovsianikov, A. & Serbin. Recherches sur la génération de cristaux photoniques à l'aide de la polymérisation à deux photons (2PP) de polymères hybrides inorganiques-organiques avec des impulsions laser ultra-courtes. J.Phys. Statut Solidi A 204, 3662–3675 (2007).

Article ADS CAS Google Scholar

Grushina, A. Lithographie en niveaux de gris à écriture directe. Adv. Opter. Technol. 8(3–4), 163–169 (2019).

Article ADS CAS Google Scholar

Chuang, RW, Kim, D., Park, J. & Lee, CC Un processus sans flux de production de joints or-étain riches en étain dans l'air. IEEE Trans. Composant. Emballage Technol. 27(1), 177–181 (2004).

Article CAS Google Scholar

Hazra, S., Singh, Y., Asheghi, M., Goodson, KE Caractérisation et prévention du débordement de métal dans la liaison de puce eutectique ultra-mince Au – Sn pour l'emballage et l'intégration de micro-refroidisseurs à flux de chaleur extrême. Dans Actes de la conférence et exposition techniques internationales ASME 2020 sur l'emballage et l'intégration des microsystèmes électroniques et photoniques, InterPACK 2020-2533 (2020).

Stilson. C., Pal, A., Coutu, RA Fabrication de structures de surface 3D par lithographie en niveaux de gris. Dans Actes de SPIE 8973 : Micromachining and Microfabrication Process Technology XIX, n° 8973 (2014).

Deng, Q. et al. Fabrication d'éléments micro-optiques avec des profils de surface arbitraires basés sur une lithographie en niveaux de gris sans masque en une étape. Micromachines. 8, 314 (2017).

Article PubMed Central Google Scholar

Dillon, T., Sure, A., Murakowski, J. & Prather, D. Fabrication de masques en niveaux de gris à ton continu à l'aide de verre sensible aux faisceaux à haute énergie. J. Microlithogr. Microfabr. Microsystème. 3(4), 550 (2004).

Google Scholar

Eckstein, H. et al. Lithographie en niveaux de gris à haute dynamique avec un stepper de micro-image à base de LED. Proc. SPIE 9780, 97800T-T97801 (2016).

Article Google Scholar

Huang, Y. & Jeng, J. Formation d'une lentille de zone de Fresnel : effets de la résine photosensible sur la lithographie sans masque à micromiroir numérique avec exposition en niveaux de gris. J. Opt. Soc. Corée 16(2), 127–132 (2012).

Article CAS Google Scholar

Ma, X. et al. Étude expérimentale de l'optimisation numérique pour la microstructuration 3-D à l'aide de la lithographie en niveaux de gris basée sur DMD. J. Microelectromech. Syst. 24(6), 1856–1867 (2015).

Article Google Scholar

Bagolini, A., Scauso, P., Sanguinetti, S. & Bellutti, P. Gravure ionique réactive profonde au silicium avec masque dur en aluminium. Mater. Rés. Exprimer. 6, 085913 (2019).

Article ADS CAS Google Scholar

Zhou, B. et al. Conception et fabrication de réseaux de micropiliers flexibles fonctionnalisés magnétiquement pour un mélange microfluidique rapide et contrôlable. Puce de laboratoire 15, 2125–2132 (2015).

Article CAS PubMed Google Scholar

Feng, J. et al. Identification de nucléotides uniques dans les nanopores de MoS2. Nat. Nanotechnologie. 10, 1070-1076 (2015).

Article ADS CAS PubMed Google Scholar

Wunsch, BH et al. Matrices de déplacement latéral à l'échelle nanométrique pour la séparation des exosomes et des colloïdes jusqu'à 20 nm. Nat. Nanotechnologie. 11, 936–940 (2016).

Article ADS CAS PubMed Google Scholar

Huang, LR, Cox, EC, Austin, RH & Sturm, JC Séparation continue des particules par déplacement latéral déterministe. Sciences 304 (5673), 987–990 (2004).

Article ADS CAS PubMed Google Scholar

Lam, ET et al. Cartographie du génome sur des réseaux de nanocanaux pour l'analyse de la variation structurelle et l'assemblage de séquences. Nat. Biotechnol. 30(8), 771–776 (2012).

Article CAS PubMed Google Scholar

Dou, X., Zhang, D., Feng, C. et Jiang, L. Structures de surface hiérarchiques bioinspirées avec une mouillabilité réglable pour réguler l'adhésion des bactéries. ACS Nano 9(11), 10664–10672 (2015).

Article CAS PubMed Google Scholar

Chen, C. et al. Condensation goutte à goutte sur des surfaces superhydrophobes avec une rugosité à deux niveaux. Appl. Phys. Lett. 90, 173108 (2007).

Article ADS CAS Google Scholar

Sun, J. & Bhushan, B. Nanofabrication de surfaces bioinspirées. Tribol. Int. 129, 67–74 (2019).

Article CAS Google Scholar

Weibel, JA & Garimella, SV Progrès récents dans la caractérisation du transport par chambre de vapeur pour les applications à flux thermique élevé. (2013).

Dai, X., Tran, L., Yang, F., Shi, B., Yang, R., Lee, YC et Li, C. Caractérisation d'un caloduc en cuivre à mèche hybride. Dans Actes de la 8e conférence conjointe sur le génie thermique ASME/JSME 2011. ASME/JSME 2011 8e conférence conjointe sur le génie thermique. Honolulu, Hawaï, États-Unis. Du 13 au 17 mars 2011. T30005. COMME MOI. https://doi.org/10.1115/AJTEC2011-44088.

Zhou, F., Liu, Y. & Dede, EM Conception, fabrication et évaluation des performances d'une chambre à vapeur à mèche hybride. J. Transfert de chaleur. 141(8), 081802 (2019).

Article CAS Google Scholar

Pan, M. & Hu, M. Simulation numérique de dissipateurs thermiques à microcanaux multiples pour la gestion thermique dans une batterie li-ion. Chim. Ing. Technol. 43(12), 2501–2513 (2020).

Article CAS Google Scholar

Yao, Z., Lu, YW & Kandlikar, SG Pool amélioration du transfert de chaleur par ébullition grâce à des nanostructures sur des microcanaux de silicium. J. Nanotechnol. Ing. Méd. 3(3), 031002 (2013).

Article CAS Google Scholar

Waits, CM, Modafe, A. & Ghodssi, R. Enquête sur la technologie des niveaux de gris pour les structures MEMS 3D en silicium de grande surface. J. Micromech. Microeng. 13, 170-177 (2003).

Article ADS CAS Google Scholar

Waits, CM, Morgan, B., Kastantin, M. & Ghodssi, R. Microfabrication de structures MEMS en silicium 3D utilisant la lithographie en niveaux de gris et la gravure ionique réactive profonde. Sens. Actionneurs A 119, 245–253 (2005).

Article CAS Google Scholar

Morgan, B., Waits, CM, Krizmanic, J. & Ghodssi, R. Développement d'une lentille de Fresnel à phase de silicium profonde utilisant la lithographie en niveaux de gris et la gravure ionique réactive profonde. J. Microelectromech. Syst. 13(1), 113–120 (2004).

Article CAS Google Scholar

Khazi, I., Muthiah, U. & Mescheder, U. Formes libres 3D en c-Si via lithographie en niveaux de gris et RIE. Microélectron. Ing. 193, 34–40 (2018).

Article CAS Google Scholar

Dixon, J., Solomon, M. Lithographie en niveaux de gris pour les structures nanophotoniques chirales. Rapport de recherche. ENGR241, SNF, Université de Stanford. (2018). https://snfexfab.stanford.edu/sites/g/files/sbiybj8726/f/sections/diplayfiles/finalreport2_jd_ms.pdf.

Zhou, F., Joshi, SN, Liu, Y. & Dede, EM Refroidissement à proximité de la jonction pour l'électronique de puissance de nouvelle génération. Int. Commun. Chaleur Masse Transf. 108(2019), 104300 (2019).

Article Google Scholar

Kermani, E., Dessiatoun, S., Shooshtari, A., Ohadi, MM Enquête expérimentale sur les performances de transfert de chaleur d'un dissipateur de chaleur à microcanaux pour le refroidissement de cellules solaires concentrées. Dans Electronic Components and Technology Conference, San Diego, États-Unis, 453–459 (2009).

Jung, KW et al. Refroidissement embarqué avec collecteur 3D pour application d'électronique de puissance de véhicule : performances du fluide thermique monophasé. Int. J. Chaleur Masse Transf. 130, 1108-1119 (2019).

Article CAS Google Scholar

Bae, DG, Mandel, RK, Dessiatoun, SV, Rajgopal, S., Roberts, SP, Mehregany, M., Ohadi, MM. Dans IEEE ITHERM, 29 mai–1er juin 2017, 466–472 (2017).

Hazra, S., Piazza, A., Jung, KW, Asheghi, M., Gupta, MP, Jih, E., Degner, M., Goodson, KE Défis de microfabrication pour une grande surface à base de silicium (≥ 500 mm2) Dispositifs de micro-refroidisseur intégrés à collecteur 3D pour une élimination élevée du flux de chaleur. À la 19e conférence IEEE ITHERM (2020).

Yadavali, S., Lee, D. & Issadore, D. Microfabrication robuste de microfluidique tridimensionnelle hautement parallélisée sur silicium. Sci. Rep. 9, 12213 (2019).

Article ADS PubMed PubMed Central CAS Google Scholar

Zhu, Y. et al. La structure de surface a amélioré l'ébullition de l'écoulement des microcanaux. J. Transfert de chaleur. 138(9), 091501 (2016).

Article CAS Google Scholar

Wu, J., Yadavali, S., Lee, D. & Issadore, DA Augmentation du débit de la synthèse de matériaux à base de gouttelettes microfluidiques : examen des progrès récents et des perspectives. Appl. Phys. Rév. 8, 031304. https://doi.org/10.1063/5.0049897 (2021).

Article ADS CAS PubMed Google Scholar

Scott, SM & Ali, Z. Méthodes de fabrication pour les dispositifs microfluidiques : Un aperçu. Micromachines 12, 319. https://doi.org/10.3390/mi12030319 (2021).

Article PubMed PubMed Central Google Scholar

Duong, LH & Chen, PC Production simple et peu coûteuse de dispositifs microfluidiques hybrides imprimés en 3D. Biomicrofluidics 13(2), 024108. https://doi.org/10.1063/1.5092529.PMID:31065307;PMCID:PMC6478590 (2019).

Article PubMed PubMed Central Google Scholar

Parcs, JW et al. Intégration optofluidique hybride. Puce de laboratoire 13(20), 4118–4123. https://doi.org/10.1039/c3lc50818h (2013).

Article CAS PubMed Google Scholar

Shin, SW, Yuk, JS & Chun, SH Matériau hybride d'ADN structurel avec composé inorganique : synthèse, applications et perspective. Nano Converg. 7, 2. https://doi.org/10.1186/s40580-019-0211-4 (2020).

Article CAS PubMed PubMed Central Google Scholar

Su, R. et al. Structures élastomères autoportantes imprimées en 3D pour la microfluidique multifonctionnelle. Sci. Adv. 6, eabc9846. https://doi.org/10.1126/sciadv.abc9846 (2020).

Article ADS CAS PubMed PubMed Central Google Scholar

Kang, Y., Wu, T., Han, X., Gu, H. & Zhang, X. Un substrat de diffusion Raman amélioré en surface réutilisable en forme d'aiguille et son application à la détermination de l'acétamipride en combinant SERS et chromatographie en couche mince. Microchicm. Acta 185, 504 (2018).

Article CAS Google Scholar

Long, J. et al. Fabrication à faible coût de micro- et nanostructures multi-échelles de type brocoli de grande surface pour des surfaces métalliques super-hydrophobes avec une adhérence à l'eau ultra-faible et une capacité anti-gel supérieure. Adv. Mater. Interfaces 5, 1800353 (2018).

Article CAS Google Scholar

Hazra, S., Liu, T., Asheghi, M., Goodson, K. Rugosité au laser pour augmenter les taux de mèche dans la microstructure à ailettes. Dans ASME 2020 International Electronic Packaging Technical Conference and Exhibition on Packaging and Integration of Electronic (2020).

Bigham, S., Fazeli, A. & Moghaddam, S. Physique de l'amélioration des microstructures du transfert de chaleur par évaporation en couche mince dans les microcanaux en ébullition. Sci. Rep. 7, 44745 (2017).

Article ADS PubMed PubMed Central Google Scholar

Télécharger les références

Cette recherche a été soutenue par le financement de l'opportunité de financement OPEN 2018 de l'Agence des projets de recherche avancée de l'énergie (ARPA-E) du ministère de l'Énergie (numéro de subvention DE-AR0001055). Plusieurs parties du travail ont été réalisées au SNF (Stanford Nanofabrication Facility) et au SNSF (Stanford Nano-Shared Facility). Ce travail a été rédigé en partie par l'Alliance for Sustainable Energy, LLC, le gestionnaire et exploitant du Laboratoire national des énergies renouvelables pour le Département américain de l'énergie (DOE) sous le contrat n° DE-AC36-08GO28308. Les opinions exprimées dans l'article ne représentent pas nécessairement les vues du DOE ou du gouvernement américain. Le gouvernement américain conserve et l'éditeur, en acceptant l'article pour publication, reconnaît que le gouvernement américain conserve une licence mondiale non exclusive, payée, irrévocable pour publier ou reproduire la forme publiée de ce travail, ou permettre à d'autres de le faire, aux fins du gouvernement américain.

Département de génie mécanique, Université de Stanford, Stanford, États-Unis

Sougata Hazra, Chi Zhang, Qianying Wu, Mehdi Asheghi et Kenneth Goodson

Département de recherche en électronique, Toyota Research Institute of North America, Ann Arbor, MI, États-Unis

Ercan M. Dede

Département de génie mécanique, Université de Californie-Merced, Merced, Californie, États-Unis

James Palco

Laboratoire national des énergies renouvelables, Golden, CO, États-Unis

Sreekant Narumanchi

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

Vous pouvez également rechercher cet auteur dans PubMed Google Scholar

SH était responsable de l'identification des lacunes dans les connaissances actuelles, de la conceptualisation du déroulement du processus, de la réalisation des travaux expérimentaux et de la validation des résultats. De plus, il a préparé le manuscrit et les figures. CZ a fourni une partie des données de caractérisation. QW, MA, KG, ED, JP et SN ont fourni des conseils pendant le travail et ont fourni des commentaires lors de la préparation du manuscrit.

Correspondance à Sougata Hazra.

Les auteurs ne déclarent aucun intérêt concurrent.

Springer Nature reste neutre en ce qui concerne les revendications juridictionnelles dans les cartes publiées et les affiliations institutionnelles.

Libre accès Cet article est sous licence Creative Commons Attribution 4.0 International, qui autorise l'utilisation, le partage, l'adaptation, la distribution et la reproduction sur tout support ou format, à condition que vous accordiez le crédit approprié à l'auteur ou aux auteurs originaux et à la source, fournissez un lien vers la licence Creative Commons et indiquez si des modifications ont été apportées. Les images ou tout autre matériel de tiers dans cet article sont inclus dans la licence Creative Commons de l'article, sauf indication contraire dans une ligne de crédit au matériel. Si le matériel n'est pas inclus dans la licence Creative Commons de l'article et que votre utilisation prévue n'est pas autorisée par la réglementation légale ou dépasse l'utilisation autorisée, vous devrez obtenir l'autorisation directement du détenteur des droits d'auteur. Pour voir une copie de cette licence, visitez http://creativecommons.org/licenses/by/4.0/.

Réimpressions et autorisations

Hazra, S., Zhang, C., Wu, Q. et al. Une nouvelle méthode de transfert de motif de masque dur à substrat pour créer des structures 3D, à plusieurs niveaux, hiérarchiques et à rapport d'aspect élevé pour des applications dans les technologies de microfluidique et de refroidissement. Sci Rep 12, 12180 (2022). https://doi.org/10.1038/s41598-022-16281-5

Télécharger la citation

Reçu : 17 mars 2022

Accepté : 07 juillet 2022

Publié: 16 juillet 2022

DOI : https://doi.org/10.1038/s41598-022-16281-5

Toute personne avec qui vous partagez le lien suivant pourra lire ce contenu :

Désolé, aucun lien partageable n'est actuellement disponible pour cet article.

Fourni par l'initiative de partage de contenu Springer Nature SharedIt

En soumettant un commentaire, vous acceptez de respecter nos conditions d'utilisation et nos directives communautaires. Si vous trouvez quelque chose d'abusif ou qui ne respecte pas nos conditions ou directives, veuillez le signaler comme inapproprié.